Open Access

Delay analysis of mixed CNT bundles as global interconnect for nanotechnology nodes


Cite

[1] A. Naeemi, R. Sarvari, and J. D. Meindl, ”Performance comparison between carbon nanotube and copper interconnects for gigascale integration (GSI)”, IEEE Electron Device Letter, vol. 26, no. 2, pp. 8486, 2005.10.1109/LED.2004.841440 Search in Google Scholar

[2] W. Steinhogl, G. Schindler, G. Steinlesberger, M. Traving, and M. Engelhardt, ”Comprehensive study of the resistivity of copper wires with lateral dimensions of 100 nm and smaller”, Journal of Applied Physics, vol. 97, no. 2, pp. 023706 (17), 2005. Search in Google Scholar

[3] B. Q. Wei, R. Vajtai, and P. M. Ajayan, ”Reliability and current carrying capacity of carbon nanotubes”, Applied Physics Letters, vol. 79, no. 8, pp. 11721174, 2001. Search in Google Scholar

[4] F. Kreupl, A. P. Graham, G. S. Duesberg, W. Steinhogl, M. Liebau, E. Unger, and W. Honlein, ”Carbon nanotubes in interconnect applications”, Microelectronics Engineering, vol. 64, no. (1-4), pp. 399408, 2002. Search in Google Scholar

[5] P. L. McEuen, M. S. Fuhrer, and H. Park, ”Single-walled carbon nanotube electronics”, IEEE Transactions on Nanotechnology, vol. 99, no. 1, pp. 7885, 2002.10.1109/TNANO.2002.1005429 Search in Google Scholar

[6] M. K. Rai and S. Sarkar, ”Influence of tube diameter on carbon nanotube interconnect delay and power output”, Physics Status Solidi A, vol. 208, no. 3, pp. 735739, 2011. Search in Google Scholar

[7] E. Pop, D. A. Mann, K. E. Goodson, and H. Dai, ”Electrical and thermal transport in metallic single-wall carbon nanotubes on insulating substrates”, Journal of Applied Physics, vol. 101, no. 9, pp. 093710 (1-10), 2007. Search in Google Scholar

[8] A. Hosseini and V. Shabro, ”Thermally-aware modeling and performance evaluation for single-walled carbon nanotube-based interconnects for future high performance integrated circuits”, Microelectronics Engineering, vol. 87, no. 10, pp. 19551962, 2010. Search in Google Scholar

[9] M. K. Rai and S. Sarkar, ”Temperature-dependent crosstalk analysis in coupled single-walled carbon nanotube (SWCNT) bundle interconnects”, International Journal of Circuit Theory and Applications, vol. 43, no. 10, pp. 13671378, 2015. Search in Google Scholar

[10] J. Y. Park, S. Rosenblatt, Y. Yaish, V. Sazonova, H. Ustunel, S. Braig, T. A. Arias, P. W. Brouwer, and P. L. McEuen, ”Electron-phonon scattering in metallic single-walled carbon nanotubes”, Nano Letters, vol. 4, no. 3, pp. 517520, 2004. Search in Google Scholar

[11] P. U. Sathyakam and P. S. Mallick, ”Transient analysis of mixed carbon nanotube bundle interconnects”, Electronic Letters, vol. 47, no. 20, pp. 11341136, 2011. Search in Google Scholar

[12] M. K. Majumder, N. D. Pandya, B. K. Kaushik, and S. K. Manhas, ”Dynamic crosstalk effect in mixed CNT bundle interconnect”, Electronic Letters, vol. 48, no. 7, pp. 384385, 2012. Search in Google Scholar

[13] B. K. Kaushik and S. Sarkar, ”Crosstalk analysis for a CMOS gate driven inductively and capacitively coupled interconnects”, Microelectronics Journal, vol. 39, no. 12, pp. 18341842, 2008. Search in Google Scholar

[14] K. S. Sandha and S. Sharma, ”Performance and analysis of different mixed-MWCNT structures as VLSI interconnects for nano-electronics IC design”, Journal of Nanoelectronics and Optoelectronics, vol. 13, no. 3, pp. 357-367, 2018.10.1166/jno.2018.2229 Search in Google Scholar

[15] S. Haruehanroengra and W. Wang, ”Analyzing conductance of mixed carbon-nanotube bundles for interconnect applications”, IEEE Electron Device Letters, vol. 28, no. 8, pp. 756759, 2007. Search in Google Scholar

[16] K. S. Sandha and A. Thakur, ”Comparative analysis of mixed CNTs and MWCNTs as VLSI interconnects for deep sub-micron technology nodes”, Journal of Electronic Materials, vol. 48, no. 4, pp. 2543-2554, 2019. Search in Google Scholar

[17] P. J. Burke, ”Luttinger liquid theory as a model of the gigahertz electrical properties of carbon nanotubes”, IEEE Transactions on Nanotechnology, vol. 99, no. 3, pp. 129144, 2002. Search in Google Scholar

[18] H. Li, W. Y. Yin, K. Banerjee, and J. F. Mao, ”Circuit modeling and performance analysis of multi-walled carbon nanotube interconnects”, IEEE Transactions on Electron Devices, vol. 55, no. 6, pp. 13281337, 2008. Search in Google Scholar

[19] D. Das and H. Rahaman, ”Analysis of crosstalk in single-and multiwall carbon nanotube interconnects and its impact on gate oxide reliability”, IEEE Transactions on Nanotechnology, vol. 10, no. 6, pp. 13621370, 2011. Search in Google Scholar

[20] S. N. Pu, W. Y. Yin, J. F. Mao, and J. F. Liu, ”Crosstalk prediction of single-and double-walled carbon-nanotube (SWCNT/ DWCNT) bundle interconnects”, IEEE Transactions on Electron Devices, vol. 56, no. 4, pp. 560568, 2009. Search in Google Scholar

[21] A. B. Amin and M. S. Ullah, ”Mathematical framework of tetramorphic MWCNT configuration for VLSI interconnect”, IEEE Transactions on Nanotechnology, vol. 19, pp. 749-759, 2020.10.1109/TNANO.2020.3026609 Search in Google Scholar

[22] A. B. Amin, S. M. Shakil, and M. S. Ullah, ”A Theoretical Modeling of Adaptive Mixed CNT Bundles for High-Speed VLSI Interconnect Design”, Crystals, vol. 12, no. 2, p. 186, 2022.10.3390/cryst12020186 Search in Google Scholar

[23] ”Semiconductor Industry Association, International Technology Roadmap for Semiconductors”, (ITRS), http://www.itrs.net/,accessed14September2022. Search in Google Scholar

[24] ”Predictive Technology Model (PTM)”, ). http://www.eas.asu.edu/ptm/,accessed11October2022. Search in Google Scholar

eISSN:
1339-309X
Language:
English
Publication timeframe:
6 times per year
Journal Subjects:
Engineering, Introductions and Overviews, other