Accès libre

An Efficient Fault-Tolerant Scheduling Approach with Energy Minimization for Hard Real-Time Embedded Systems

À propos de cet article

Citez

1. Djosic, S., M. Jevtic. Dynamic Voltageand Frequency Scaling Algorithmfor Fault Tolerant Real-Time Systems. – Microelectronics Reliability Journalof Elsevier, Vol. 53, 2013, pp. 1036-1042.10.1016/j.microrel.2013.03.012Search in Google Scholar

2. Salehi, M., M. K. Tavana, S. Rehmen, M. Shafique, A. Ejlali, J. Henkel. Two-State Checkpointingfor Energy-Efficient Fault Tolerancein Hard Real-Time Systems. – IEEE Trans. on Very Large Scale Integration (VLSI) Systems, Vol. 24, 2016, pp. 2426-2437.10.1109/TVLSI.2015.2512839Search in Google Scholar

3. Li, Z., L. Wang, S. Ren, G. Quan. Energy Minimizationfor Checkpointing-Based Approachto Guaranteeing Real-Time Systems Reliability. – In: Proc. of 16th IEEE Int. Symp. Object/Compon./Service-Oriented Real-Time Distrib. Comput. (ISORC’13), 2013, pp. 1-8.10.1109/ISORC.2013.6913209Search in Google Scholar

4. Li, Z., S. Ren, G. Quan. Energy Minimizationfor Reliability-Guaranteed Real-Time Applications Using DVFSand Checkpointing Techniques. – Journalof Systems Architecture, Vol. 61, 2015, pp. 71-81.10.1016/j.sysarc.2014.12.002Search in Google Scholar

5. Krishna, C. M. Fault-Tolerant Schedulingin Homogeneous Real-Time Systems. – ACM Computing Surveys, Vol. 46, March 2014, No 4, Article 48. 34 p.10.1145/2534028Search in Google Scholar

6. Mahmood, A., S. A. Khan, F. Albalooshi, N. Awwad. Energy-Aware Real-Time Task Schedulingin Multiprocessor Systems Usinga Hybrid Genetic Algorithm. – Electronics, Vol. 6, 2017, No 2. 40 p.10.3390/electronics6020040Search in Google Scholar

7. Wei, T., P. Mishra, K. Wuc, J. Zhou. Quasi-Static Fault Tolerant Schemesfor Energy-Efficient Hard Real-Time Systems. – Systemsand Software Journalof Elsevier, Vol. 85, 2012, pp. 1386-1399.10.1016/j.jss.2012.01.020Search in Google Scholar

8. Zhu, X., R. Ge, J. Sunc, C. He. 3E: Energy-Efficient Elastic Schedulingfor Independent Tasksin Heterogeneous Computing Systems. – Systems And Software Journalof Elsevier, Vol. 86, 2013, pp. 302-314.10.1016/j.jss.2012.08.017Search in Google Scholar

9. Assayad, I., A. Girault, H. Kalla. Schedulingof Real-Time Embedded Systemsunder Reliabilityand Power Constraints. – In: Proc. of International Conferenceon Complex Systems (ICCS’12), IEEE, November 2012.10.1109/ICoCS.2012.6458565Search in Google Scholar

10. Samal, A. K., R. Mall, C. Tripathy. Fault Tolerant Schedulingof Hard Real-Time Taskson Multiprocessor System Usinga Hybrid Genetic Algorithm. – Swarmand Evolutionary Computation Journalof Elsevier, 2013.10.1016/j.swevo.2013.10.002Search in Google Scholar

11. Gan, J., F. Gruian, P. Pop, J. Madsen. Energy/Reliability Trade-Offsin Fault-Tolerant Event-Triggered Distributed Embedded Systems. – In: Proc. of 16th Asia South Pacific Design Automation Conference ASP-DAC, 2011, pp. 731-736.10.1109/ASPDAC.2011.5722283Search in Google Scholar

12. Kumar, A., B. Alam. Improved EDF Algorithmfor Fault Tolerancewith Energy Minimization. – In: Proc. of IEEE International Conferenceon Computational Intelligence & Communication Technology (CICT’15), Ghaziabad, India, February 2015.10.1109/CICT.2015.84Search in Google Scholar

13. Han, Q., M. Fan, G. Quan. Energy Minimizationfor Fault Tolerant Real-Time Applicationson Multiprocessor Platforms Scheduling Using Checkpointing. – In: IEEE International Symposiumon Low Power Electronicsand Design (ISLPED), Beijing, China, September 2013, pp. 76-81.10.1109/ISLPED.2013.6629270Search in Google Scholar

14. Izosimov, V., P. Pop, P. Eles, Z. Peng. Schedulingand Optimizationof Fault-Tolerant Embedded Systemswith Transparency/Performance Trade-Offs. – ACM Trans. Embedded Computing Systems, Vol. 11, 2012, No 3. 61 p.10.1145/2345770.2345773Search in Google Scholar

15. Zhang, Y., K. Chakrabarty. A Unified Approachfor Fault Toleranceand Dynamic Power Managementin Fixed-Priority Real-Time Embedded Systems. – IEEE Trans. Computer-Aided Designof Integrated Circuits And Systems, Vol. 25, 2006, pp. 111-125.10.1109/TCAD.2005.852657Search in Google Scholar

16. Izosimov, V., P. Pop, P. Eles, Z. Peng. Schedulingof Fault Tolerant Embedded Systemswith Softand Hard Timing Constraints. – In: Proc. of 2008 Design, Automationand Testin Europe Conference (DATE’08), 2008, pp. 915-920.10.1145/1403375.1403596Search in Google Scholar

17. Motaghi, M. H., H. R. Zarandi. DFTS: Dynamic Fault-Tolerant Schedulingfor Real-Time Tasksin Multicore Processors. – Microprocessorsand Microsystems Journalof Elsevier, Vol. 38, 2014, pp. 88-97.10.1016/j.micpro.2013.11.013Search in Google Scholar

18. Han, Q., M. Fan, L. Niu, G. Quan. Energy Minimizationfor Fault Tolerant Schedulingof Periodic Fixed-Priority Applicationson Multiprocessor Platforms. – In: Proc. of 2015 Design, Automationand Testin Europe Conferenceand Exhibition (DATE’15), 2015, pp. 830-835.10.7873/DATE.2015.0286Search in Google Scholar

19. Pop, P., V. Izosimov, P. Eles, Z. Peng. Design Optimizationof Time-and- Cost-Constrained Fault-Tolerant Embedded Systemswith Checkpointingand Replication. – IEEE Trans. Very Large Scale Integration Systems, Vol. 17, 2009, 389-340.10.1109/TVLSI.2008.2003166Search in Google Scholar

20. Tavana, M. K., N. Teimouri, M. Abdollahi, M. Goudarzi. Simultaneous Hardwareand Time Redundancywith Online Task Schedulingfor Low Energy Highly Reliable Standby-Sparing System. – ACM Trans. Embedded Computing Systems, Vol. 13, 2014, No 4. 86 p.10.1145/2523781/2560035Search in Google Scholar

21. Zhao, B., H. Aydin, D. Zhu. Shared Recoveryfor Energy Efficiencyand Reliability Enhancementsin Real-Time Applicationswith Precedence Constraints. – ACM Trans. Des. Autom. Electron. Syst., Vol. 18, March 2013, No 2, Article 23. 21 p.10.1145/2442087.2442094Search in Google Scholar

22. Zhang, L., K. Li, Y. Xu, J. Mei, F. Zhang, K. Li. Maximizing Reliabilitywith Energy Conservationfor Parallel Task Schedulingina Heterogeneous Cluster. – Information Sciences, Vol. 319, 2015, pp. 113-131.10.1016/j.ins.2015.02.023Search in Google Scholar

23. Zahaf, H. E. Energy Efficient Schedulingof Parallel Real-Time Taskson Heterogeneous Multicore Systems. Ph.D. Université de Lille 1, Scienceset Technologies, 2016.Search in Google Scholar

24. Eles, P., V. Izosimov, P. Pop, Z. Peng. Synthesisof Fault-Tolerant Embedded Systems. – In: Proc. of 2008 Design, Automationand Testin Europe Conference (DATE’08), 2008, pp. 1117-1122.10.1145/1403375.1403644Search in Google Scholar

25. Girault, A., H. Kalla. A Novel Bicriteria Scheduling Heuristics Providinga Guaranteed Global System Failure Rate. – IEEE Trans. on Dependableand Secure Computing, Vol. 6, 2009, pp. 241-254.10.1109/TDSC.2008.50Search in Google Scholar

26. Hu, Y., C. Liua, K. Li, X. Chena, K. Li. Slack Allocation Algorithmfor Energy Minimizationin Cluster Systems. – Future Generation Computer Systems, Vol. 74, 2016, pp. 119-131.10.1016/j.future.2016.08.022Search in Google Scholar

27. Melhem, R., D. Mosse, E. Elnozahy. The Interplayof Power Managementand Fault Recoveryin Real-Time Systems. – IEEE Trans. Comput., Vol. 53, 2004, pp. 217-231.10.1109/TC.2004.1261830Search in Google Scholar

28. Qamhieh, M. Schedulingof Parallel Real-Time DAG Taskson Multiprocessor Systems. Ph.D. Paris-Est University, 2015.10.1145/2659787.2659818Search in Google Scholar

29. Arar, C., M. S. Khireddine. An Algorithm Basedon Replicationand Deallocation Efficient Fault-Tolerant Multi-Bus Data Scheduling Algorithm Basedon Replicationand Deallocation. – Cyberneticsand Information Technologies, Vol. 16, 2016, No 2, pp. 69-84.10.1515/cait-2016-0021Search in Google Scholar

30. Bachir, M., H. Kalla. A Fault Tolerant Scheduling Heuristicsfor Distributed Real Time Embedded Systems. – Cyberneticsand Information Technologies, Vol. 18, 2018, No 3, pp. 48-61.10.2478/cait-2018-0038Search in Google Scholar

eISSN:
1314-4081
Langue:
Anglais
Périodicité:
4 fois par an
Sujets de la revue:
Computer Sciences, Information Technology