Uneingeschränkter Zugang

Design of microprogrammed controllers to be implemented in FPGAs

International Journal of Applied Mathematics and Computer Science's Cover Image
International Journal of Applied Mathematics and Computer Science
Efficient Resource Management for Grid-Enabled Applications (special section, pp. 219 - 306), Joanna Kołodziej and Fatos Xhafa (Eds.)

Zitieren

Adamski, M. and Barkalov, A. (2006). Architectural and Sequential Synthesis of Digital Devices, University of Zielona Góra Press, Zielona Góra.Search in Google Scholar

Baranov, S. I. (1994). Logic Synthesis for Control Automata, Kluwer Academic Publishers, Boston, MA.10.1007/978-1-4615-2692-6Search in Google Scholar

Barkalov, A. and Titarenko, L. (2009). Logic Synthesis for FSM-Based Control Units, Springer-Verlag, Berlin.10.1007/978-3-642-04309-3Search in Google Scholar

Barkalov, A., Wegrzyn, M. and Wiśniewski, R. (2006). Optimization of LUT-elements amount in cotrol unit of system-on-chip, Discrete-Event System Design, DESDes '06: A Proceedings Volume from the 3rd IFAC Workshop, Rydzyna, Poland, pp. 143-146.Search in Google Scholar

Barkalov A., Titarenko L. and Chmielewski S. (2007). Reduction in the number of PAL macrocells in the circuit of a Moore FSM, International Journal of Applied Mathematics and Computer Science17(4): 565-675, DOI: 10.2478/v10006-007-0046-8.10.2478/v10006-007-0046-8Search in Google Scholar

Brown, S. and Vranesic, Z. (2000). Fundamentals of Digital Logic with VHDL Design, McGraw Hill, New York, NY.Search in Google Scholar

Bukowiec, A. (2009). Synthesis of Finite State Machines for FPGA Devices Based on Architectural Decomposition, University of Zielona Góra Press, Zielona Góra.Search in Google Scholar

De Micheli, G. (1994). Synthesis and Optimization of Digital Circuits, McGraw-Hill, New York, NY.Search in Google Scholar

Gajski, D. (1996). Principles of Digital Design, Prentice Hall, Upper Saddle River, NJ.Search in Google Scholar

Garcia-Vargas, I., Senhadji-Navarro, R., Jimenez-Moreno, G., Civit-Balcells, A. and Guerra-Gutierrez, P. (2007). ROM-based finite state machine implementation in low cost FPGAs, IEEE International Symposium on Industrial Electronics (ISIE), Vigo, Spain, pp. 2342-2347.Search in Google Scholar

Kania, D. (2004). The Logic Synthesis for the PAL-based Complex Programmable Logic Devices, Lecture Notes of the Silesian University of Technology, Gliwice, (in Polish).Search in Google Scholar

Lee, J.M. (1999). VERILOG QuickStart: A Practical Guide to Simulation and Synthesis in VERILOG, Kluwer Academic Publishers, Norwell, MA.Search in Google Scholar

Łuba, T. (2005). Synthesis of Logic Devices, Warsaw University of Technology Press, Warsaw, (in Polish).Search in Google Scholar

Łuba, T., Borowik, G. and Kraśniewski, A. (2009). Synthesis of finite state machines for implementation with programmable structures, Electronics and Telecommunications Quarterly55(2): 183-200.Search in Google Scholar

Maxfield, C. (2004). The Design Warrior's Guide to FPGAs, Academic Press, Inc., Orlando, FL.Search in Google Scholar

Sentovich, E.M. (1993). Sequential Circuit Synthesis at the Gate Level, Ph.D. thesis, University of California, Berkeley, CA.Search in Google Scholar

Thomas, D. and Moorby, P. (2002). The Verilog Hardware Description Language, 5th Edn., Kluwer Academic Publishers, Norwell, MA.Search in Google Scholar

Wiśniewska, M., Wiśniewski, R. and Adamski, M. (2007). Usage of hypergraph theory in decomposition of concurrent automata, Pomiary, Automatyka, Kontrola (7): 66-68.Search in Google Scholar

Wiśniewski, R. (2005). Partial reconfigutration of microprogrammed controllers implemented in FPGAs, Proceedings of the International Ph.D. Workshop OWD 2005, Wisła, Poland, Vol. 21, pp. 239-242, (in Polish).Search in Google Scholar

Wiśniewski, R. (2009). Synthesis of Compositional Microprogram Control Units for Programmable Devices, University of Zielona Góra Press, Zielona Góra.Search in Google Scholar

Wiśniewski, R., Barkalov, A. and Titarenko, L. (2006). Optimization of address circuit of compositional microprogram unit, Proceedings of the IEEE East-West Design & Test Workshop, EWDTW '06, Sochi, Russia, pp. 167-170.Search in Google Scholar

Zwolinski, M. (2000). Digital System Design with VHDL, Addison-Wesley Longman Publishing Co., Inc., Boston, MA.Search in Google Scholar

ISSN:
1641-876X
Sprache:
Englisch
Zeitrahmen der Veröffentlichung:
4 Hefte pro Jahr
Fachgebiete der Zeitschrift:
Mathematik, Angewandte Mathematik